diff --git a/code/espurna/system.cpp b/code/espurna/system.cpp index 1c54ac4f..7b2347a9 100644 --- a/code/espurna/system.cpp +++ b/code/espurna/system.cpp @@ -399,6 +399,9 @@ void SystemTimer::callback() { return; } + if (_tick) { + os_timer_disarm(_armed); + } reset(); }