You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

15 lines
524 B

  1. #pragma once
  2. typedef HSV (*i_f)(HSV hsv, uint8_t i, uint8_t time);
  3. bool effect_runner_i(effect_params_t* params, i_f effect_func) {
  4. RGB_MATRIX_USE_LIMITS(led_min, led_max);
  5. uint8_t time = scale16by8(g_rgb_counters.tick, rgb_matrix_config.speed / 4);
  6. for (uint8_t i = led_min; i < led_max; i++) {
  7. RGB_MATRIX_TEST_LED_FLAGS();
  8. RGB rgb = hsv_to_rgb(effect_func(rgb_matrix_config.hsv, i, time));
  9. rgb_matrix_set_color(i, rgb.r, rgb.g, rgb.b);
  10. }
  11. return led_max < DRIVER_LED_TOTAL;
  12. }