You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

7 lines
335 B

  1. #pragma once
  2. bool process_record_user_rgb(uint16_t keycode, keyrecord_t *record);
  3. void keyboard_post_init_rgb(void);
  4. void matrix_scan_rgb(void);
  5. void rgb_matrix_set_color_all(uint8_t red, uint8_t green, uint8_t blue);
  6. void rgb_matrix_layer_helper(uint8_t hue, uint8_t sat, uint8_t val, uint8_t mode, uint8_t speed, uint8_t led_type);