Browse Source

fix: restore indicators to jellybean_raindrops (#21792)

After #21169, rgb_matrix_indicators() was limited to running on the
final render iteration. Since the jellybean_raindrops animation
immediately returns false after updating a single LED, the iteration
count no longer ends up high enough to render non-advanced indicators.

This change also brings jellybean_raindrops more in line with raindrops.
pull/21815/head
Less/Rikki 8 months ago
committed by GitHub
parent
commit
0d535381de
No known key found for this signature in database GPG Key ID: 4AEE18F83AFDEB23
1 changed files with 5 additions and 6 deletions
  1. +5
    -6
      quantum/rgb_matrix/animations/jellybean_raindrops_anim.h

+ 5
- 6
quantum/rgb_matrix/animations/jellybean_raindrops_anim.h View File

@ -10,17 +10,16 @@ static void jellybean_raindrops_set_color(int i, effect_params_t* params) {
}
bool JELLYBEAN_RAINDROPS(effect_params_t* params) {
RGB_MATRIX_USE_LIMITS(led_min, led_max);
if (!params->init) {
// Change one LED every tick, make sure speed is not 0
if (scale16by8(g_rgb_timer, qadd8(rgb_matrix_config.speed, 16)) % 5 == 0) {
jellybean_raindrops_set_color(random8_max(RGB_MATRIX_LED_COUNT), params);
}
return false;
}
RGB_MATRIX_USE_LIMITS(led_min, led_max);
for (int i = led_min; i < led_max; i++) {
jellybean_raindrops_set_color(i, params);
} else {
for (int i = led_min; i < led_max; i++) {
jellybean_raindrops_set_color(i, params);
}
}
return rgb_matrix_check_finished_leds(led_max);
}


Loading…
Cancel
Save